Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20639 Discussions

ST Serial Flash Memory vs EPCS

Altera_Forum
Honored Contributor II
1,194 Views

hello, gals and guys. i am preparing for a FPGA board design. As to the configuration chip, i want to use the ST serial flash memory M25P128 or M25P64 to substitute the EPCS128 and EPCS64. While i am not familiar with the M25P128 and M25P64, so could u give me some help for the following sides? thanks 

 

1. can i use the M25P128 inside the SOPC Builder? can i add an EPCS master model inside the SOPC Builder while on the board, actually i connect the M25P128 to the ASMI interface? 

 

2. on the schematic, do i need to do some modifications? the formal schematic is for AS mode, msel0--> gnd, and msel1-->vcc( with pull up)? the M25[128 and EPCS128 are pin-to-pin compatible, right? 

 

3. with the M25P128, could i use the nios2-flash-programmer?  

 

have a nice day. 

 

best wishes 

 

eric
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
328 Views

footprint and pinout are the same. so you can try both. it is rumoured that alteras serial flashes are made by st. you've to add the st-flash as a new device using the quartus programmer ("new device"). maybe the jtag id is different!?

0 Kudos
Altera_Forum
Honored Contributor II
328 Views

Sign up for NIOS Forum, as I am pretty sure that they address all this over there.

0 Kudos
Altera_Forum
Honored Contributor II
328 Views

hi all  

 

i have the same doubt of our friend eric.sung ..... 

 

 

can anyone help me ????? how can i add M25P128 at sopc bulder ???? 

 

 

thanks ... 

 

 

Franz Wagner
0 Kudos
Reply