Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

modelsim 6.1g AE and port mapping

Altera_Forum
Honored Contributor II
1,302 Views

Hi everybody, 

 

machine_com : entity communication PORT MAP ( clk => sysclk, E_com(7 downto 0) => diag(7 downto 0), S_com(19 downto 9) => V_inter(19 downto 9) );  

The port S_com is 20 downto 9 and I really want to ignore S_com(20). 

 

This code fails to compile on modelsim ae 6.1g but is synthetisable in quartus 7.1 :confused: . 

Maybe a small bug to fix.:)
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
510 Views

The "entity" is the typo? This is weird. Perhaps you can try compile with -93 switch (e.g. vcom -93 ...)?

0 Kudos
Altera_Forum
Honored Contributor II
510 Views

Nope. 

What do you mean by "the entity is typo" ?
0 Kudos
Reply