Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20641 Discussions

Gated Clock + Clock MUX-> what primitives to use?

Altera_Forum
Honored Contributor II
1,722 Views

Hi All, 

 

As for the Gated Clock implementation -> what primitives to use?  

 

Could someone provide examples?  

 

Thank you!
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
573 Views

If you want a reliable design, don't use gated clocks. FPGAs aren't designed to support them. 

 

Solve it another way. Use your clock gating control signal to determine what the logic should do when it's active (clock disabled). 

 

Cheers, 

Alex
0 Kudos
Altera_Forum
Honored Contributor II
573 Views

Yes, use clock enable control instead of gating the clock. Also, you can add in the clock control block (ALTCLKCTRL) and use its enable signal to disable a global clock.

0 Kudos
Altera_Forum
Honored Contributor II
573 Views

 

--- Quote Start ---  

Hi All, 

 

As for the Gated Clock implementation -> what primitives to use?  

 

Could someone provide examples?  

 

Thank you! 

--- Quote End ---  

 

 

Never ever gate clocks, clocks may not be multiplexed. Here yopu will find what you need: https://www.dropbox.com/s/8z2rgxvisni71y6/syncdesign2.pdf?dl=0
0 Kudos
Reply