Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20705 Discussions

will Cyclone III work with unconected VCCA PLL ??

Altera_Forum
Honored Contributor II
1,957 Views

I actually made e board already and didn't connected thous VCCA PLL pins and now my board don't work (I can't program it and software all time is saying "can't access JTAG chain"  

is that because of unconnected VCCA pins ?  

 

here is my DIY cyclone III board and I can't get it to work :(  

I have pull-up 10K ohm on CONF_DONE and nStatus + other Jtag pins are also conected like in Cyslone III handbook Figure 10–23. schematic. 

 

for programming I use self soldered Byteblaster II, programmer works correctly because I can program my old cyclone II board. 

https://www.alteraforum.com/forum/attachment.php?attachmentid=318
0 Kudos
15 Replies
Altera_Forum
Honored Contributor II
1,147 Views

Hello, 

 

the simple answer is: cyclone iii won't work without vcca supply. As the manual clarifies, the 2.5v vcca supply, also different from Cyclone II must be present as well as the core supply to enable the configuration and boundary scan JTAG circuit. 

 

Regards, 

 

Frank
0 Kudos
Altera_Forum
Honored Contributor II
1,147 Views

It is relay sad :( because cyclone II was working without VCCA, thats why I omitted thous schematics in C3 handbook, but why need to use this 2.5V, why not 3.3 ???  

does that mean that I need to set BANK1, BANK6 also to 2,5V ??  

 

is there someone who tried to use 3,3V on VCCA and 1;6 BANKS ???
0 Kudos
Altera_Forum
Honored Contributor II
1,147 Views

Hello, 

 

you can supply 3.3V for VCCIO, but VCCA is fixed to 2,5V +/- 5 %. Altera however recommends to use 2.5V for configuration - for two reasons that shouldn't be mixed up.  

 

1. There is an issue with power-up sequence, particularly with non-monotic voltage rise which can cause the configuration to fail. Please read the respective manual parts, but with a correct power supply, this issue shouldn't exist to my opinion. 

 

2. The Cyclone III is apparently more sensitive to overvoltages than Cyclone II, thus Altera included multiple hints in the newer manual revision regarding potential damage due to overshooting configuration signals. I made some remarks in a recent Cyclone III configuration thread, that I don't repeat here. With goof design practice, e. g. having clamp diodes or on-board JTAG buffers, this shouldn't be an issue. But I see, that it's a good idea, to consider all 2.5V logic supply with new designs. 

 

The point with VCCA is that Altera introduced internal voltage regulators for PLL supply. Cyclone II users probably know why. Of course I can't know, if VCCA could operate with 3.3V, but it would be far out of allowed voltage range. I would use a series silicon diode from 3.3V as simple workaround during prototype evaluation and a small 2.5 V LDO later. 

 

This solution also worked with a customers design. 

 

Regards, 

 

Frank
0 Kudos
Altera_Forum
Honored Contributor II
1,147 Views

thanks FvM  

 

I will try to connect tomorrow this VCCA pin thorough silicon diode, by drilling hole down fpga VCCA pin, and then I will post my results :)
0 Kudos
Altera_Forum
Honored Contributor II
1,147 Views

Success  

my Cyclone III is alive I drilled hole to VCCA1 Pin and connected it to 2,6V supply. 

For supply I used single Diode HER105 which dropped voltage by 0,6V and I get 2,6V on VCCA1 Pin.  

So in my board all VCCIO banks are powered by 3,2V and i don't need additional 2,5V power supply I also left unconnected VCCA2 pin dos it have some impact on cyclone III ??? or I can leave it unconnected :)
0 Kudos
Altera_Forum
Honored Contributor II
1,147 Views

Hello, 

 

manual specification is clear in this respect: 

 

--- Quote Start ---  

All VCCA pins must be powered to 2.5-V (even when PLLs are not used), and must be powered-up and powered-down at the same time. 

--- Quote End ---  

The same is stated in the Pinout document. Please don't ask what happens, if you ignore it. Did you see configuration functional with only VCCA1 connected? 

 

Regards, 

 

Frank
0 Kudos
Altera_Forum
Honored Contributor II
1,147 Views

I made some simple logic which routs clock signal to output pin, I have on board 16mhz clock and I got much lower frequency clock signal about 1Mhz, and I changed PLL clock presscaler and got different results so I must say that chip don't work stable with one VCCA1 pin connected and other VCCA2 unconnected.  

but at least it configures :) 

 

also I noticed that I cant see clock signal on output pins without using PLL by directly connecting clock signal to internal logic clock signal(I had 16bit counter for clock division), and there was no signal output pin, also tried to rout clock signal in global line and still no results, I had results just with using PLL.  

 

so I guess I will have to drill one more hole and solder VCCA2 pin :(
0 Kudos
Altera_Forum
Honored Contributor II
1,147 Views

VCCA is required for a Cyclone III class product because: 

 

1. It's a 65nm product. Smaller process geometries require more technical discipline with respect to voltage (affects the IC designer and the board designer equally). 

2. The Cyclone III PLLs are far more sophisticated than Cyclone II. In order to keep the PLL jitter superior to alternative clock sources on a board, it needs its own, clean power source. 

 

For future reference, you can just take the schematics from the starter kits: 

 

http://www.altera.com/products/devkits/altera/kit-cyc3-starter.html#documentation 

 

and save yourself a lot of headaches experimenting with what Altera means by the word "required".  

 

Out of curiosity, did you spend more than $200 in your time and money to build this home baked board?  

 

GG
0 Kudos
Altera_Forum
Honored Contributor II
1,147 Views

Hello, 

 

who ever experienced Cyclone II PLL problems due to SSO effects knows that the internal PLL supply regulator introduced with Cyclone III is an important improvement. It can be reason enough to start a redesign. When you read the manual thoroughly, there should be no doubt how to connect power supplies. Reference or evaluation board designs are usually a good starting point for a new design. 

 

I think, most confusion with Cyclone III "unexpected innovations" has arised for developers experienced to Cyclone II. They thought to know how to migrate but didn't read the manual exactly. E. g. when migrating an existing design, just change the pin assignments in CAD part and in Quartus. This ends up in an almost functional design - except for VCCA connected to 1V2. 

 

I can't see from Epis' board photo if it's a just a homemade evaluation board or if the design serves a purpose that can't be satisfied by an evaluation tool. To my opinion, 10 mils PCB technology is somewhat coarse, but it may work for low to medium pin count designs. Possible SI qualities can't be judged without seeing the backside. 

 

Regards, 

 

Frank
0 Kudos
Altera_Forum
Honored Contributor II
1,147 Views

it is my DIY cheap 2 layer board trace width 0.25mm Via hole 0.4mm board cost me 38$ so it is not big money loose, and here is pictures of board with connected VCCA1 pin  

https://www.alteraforum.com/forum/attachment.php?attachmentid=319  

here is picture of second PCB where I made first drilling test to see if it is possible to drill such hole and gain some drilling experience :) 

https://www.alteraforum.com/forum/attachment.php?attachmentid=321  

https://www.alteraforum.com/forum/attachment.php?attachmentid=320  

 

in 2 layers I managed to route first 2 ball rows + configuration pins for Fast Passive Parallel configuration with MAX3000 and 16Mb parallel flash, the main purpose of this board was to evaluate this new super fast +cheep (totally 5$) configuration scheme :)  

and in addition I have 50 IOs and I can add external voltage to 4 IO banks, by external power supply(or connect to 3.2V on board regulator), that kind of feature is not supported on any altera Dev.kit, So I have 4 individual IO banks that can by set at any voltage I need :)  

 

I choose to use BGA256 package because 144pin package had too small IO count(94), my previous cyclone II had 208 pin package (it was enough), so cyclone III I had only one choice BGA256, where for first 2 rows I can route 124IOs that is by 30IOs more than 144pack :)  

so 124 is reasonable IO count for connecting some high count devices, ofcourse by data sheet BGA256 pack has 182 IOs, but then I need to make 4 layer PCB which cost about 200$ it is too much for hobby staff, and to get it cheap you must make more than 100 boards and then sell them.
0 Kudos
Altera_Forum
Honored Contributor II
1,147 Views

here is picture how I connected second VCCA2 pin. 

I just need to isolate it with read silicon isolator and board is ready for tests :) 

https://www.alteraforum.com/forum/attachment.php?attachmentid=322
0 Kudos
Altera_Forum
Honored Contributor II
1,147 Views

I made today some tests and found strange PLL behavior it outputs strange frequency in place of 16Mhz I get 22,7Khz frequency I set PLL to ratio 1/1 so it need to be 16Mhz, I tested it by making such test code where i created 2 clocks one direct 16Mhz clock input I routed to Global clock line and other was PLL clock and for testing I created logic 6bit(/128) frequency divider. 

and as I have only 1Msps oscilloscope I saw that output of non PLL clock logic was 128Khz and it is correct, value for 16Mhz clock, but PLL clock I measured directly and it was 22,7Khz :(  

 

Why PLL don't work correctly ??  

 

LIBRARY ieee; USE ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Entity Declaration ENTITY Cyclone_III_pin_test IS -- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE! PORT ( A : Out STD_LOGIC_vector(7 downto 0); Clk : IN STD_LOGIC ); -- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE! END Cyclone_III_pin_test; Architecture Cyclone_test of Cyclone_III_pin_test is signal CLK_1ms,B,B2,C,D: std_Logic; signal Q,Q2 : STD_logic_vector (5 downto 0); component C3PLL_test PORT ( inclk0 : IN STD_LOGIC := '0'; c0 : OUT STD_LOGIC ; locked : OUT STD_LOGIC ); end component; component clk_route PORT ( inclk : IN STD_LOGIC ; outclk : OUT STD_LOGIC ); end component; begin clk_16M : clk_route Port map( inclk=>clk,outclk=>C); CLock_PLL : C3PLL_test Port map( inclk0=>clk,c0=>CLK_1ms); --CLK_1ms<= CLK; A(3) <= CLK_1ms; A(2) <= CLK; A(1) <= C; A(0) <= CLK_1ms; A(4)<= D; process(clk_1ms) begin --if clk_1ms ='1' then if rising_edge(clk_1ms) then Q<=Q+1; if Q ="000000" then if B='1' then A(7 downto 5)<= "111"; B<='0'; else A(7 downto 5)<= "000"; B<='1'; end if; end if; end if; end process; process(c) begin --if clk_1ms ='1' then if rising_edge(c) then Q2<=Q2+1; if Q2 ="000000" then if B2='1' then D<= '1'; B2<='0'; else D<='0'; B2<='1'; end if; end if; end if; end process; end Cyclone_test;
0 Kudos
Altera_Forum
Honored Contributor II
1,147 Views

I just found out why PLL is working improperly and instead of 16Mhz give out 22Khz its because I also forgot to connect VCCD1;2 pins to 1,2V core voltage.  

I will have to correct that mistake, and then PLL must work properly.
0 Kudos
Altera_Forum
Honored Contributor II
1,147 Views

Cool PCB design 

 

I guess PLL power diff from Cyclone2 may solve some power issue in Cyclone3. So they div VCC to 2 or more.
0 Kudos
Altera_Forum
Honored Contributor II
1,147 Views

I connected VCCD1 pin (second pin I didn't connected) and PLL1 is working. 

i soldered all board and here is picture of my DIY cyclone III prototype board :) 

 

https://www.alteraforum.com/forum/attachment.php?attachmentid=331
0 Kudos
Reply