Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20638 Discussions

ALTLVDS_TX Not Working Cyclone IV

Altera_Forum
Honored Contributor II
986 Views

Hi, I´ve been using a Cyclone IV -E ( EP4CE115F29C7N) and DE2-115 dev kit. I'm trying to use the ALTLVDS_TX IP in my project and since I wasn't getting no output data from it, I decided to test the IP alone, with a simple data entry , so I could analize the circuit.  

 

While simulating with the ModelSim, I was able to see all waveforms and the correct working of the transmitter, but when I try to use the signal tap, I can´t get an output from it, I'm configuring my IO standard as LVDS and using the HSMC_TX_D_P pins, I've already tried to use the "external pll" option with 1 clock for the tx_inclock with another slow clock for the tx_sincclock and with the "external pll" option turned off, I used the pin "Y2" as a 50MHz clock for the tx_inclock and the tx_coreclock as a feedback from the LVDS_TX to the data input. As I'm just trying to put the lvds to work as a transmitter, i'm using a constant as input, with every posedge of coreclock commanding it's entry in the transmitter. 

 

My questions are basically : What am I missing here?Do I need any special configuration that I didn't noticed from the LVDS user guide?Am I using the wrong pins or clock ? 

 

thanks in advance.
0 Kudos
0 Replies
Reply