Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20705 Discussions

The ModelSim-Altera can't find MegaCore libraries

Altera_Forum
Honored Contributor II
976 Views

Hi.  

I try to simulate my design in ModelSim-Altera. My design includes FIFOs produced by Quartus MegaCore. 

So, I have troubles with the FIFO simulation. 

In Altera support I found that "ModelSim-Altera edition and ModelSim-Altera Web Edition come with pre-compiled Altera libraries". Anyway, I try to compile the altera_mf library. 

I get error:  

# ** Error: (vcom-19) Failed to access library 'work' at "d:/quartus_72sp2/quartus/tpi/mgc_oem/libs/altera/vhdl/altera_mf". 

The Quatrus software installed in other directory than ModelSim lookong for. 

Where can I change the path for the libraries?
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
252 Views

As you mentioned, ModelSim Altera comes with precompiled libraries. Hence, you don't need to compile altera_mf library. 

 

Also, all the needed files are under ModelSim folder, you don't even need Quartus installed on the same computer to do simulations.
0 Kudos
Reply