Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

writing output to a file

Altera_Forum
Honored Contributor II
969 Views

Hi.. 

I am currently working on a project based on image compression. 

Using quartus II i could see simulation waveforms only till 1000ns. 

 

As quartus has no mode of writing test benches... can anyone suggest me a way to write my output to a text file or something in verilog. 

 

Really in need of help..  

 

Thanks 

Sri Harsha
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
304 Views

When your .vwf file is open, do a File -> Save As, or File -> Export, and there should be different text formats available. It is recommended to use the Altera Modelsim version with Quartus, since that allows testbenches(and a whole host of other capabilities the Altera simulator does not).

0 Kudos
Reply