Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

13410 pin stuck at GND, but simulation ok

Altera_Forum
Honored Contributor II
1,800 Views

Hi there, I'm getting the compile error "13410 Pin led_col_ctrl[n] is stuck at GND" on 15 pins of a 36 pin output vector. When I simulate the design in modelsim these same pins work as expected (i.e. they are toggling, not stuck in one state). I could use guidance as to where to look to find the cause of this error. I've attached my archived quartus project for your reference.

0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
554 Views

I compiled your project with Quartus II 15.0.2 and did not get any "stuck at GND" messages. Restore your project from the .qar file and recompile it. If the errors are gone then you probably had a corrupt database in the original project. Try cleaning the project (Project -> Clean Project from menu) or just delete the "db" folder in the project folder.

0 Kudos
Altera_Forum
Honored Contributor II
554 Views

Strange - I tried both downloading the archived project to a fresh folder and also doing Project->Clean on the original project and in both cases I got the same result again.... 

 

I just noticed that you are using Quartus II 15.0.2, while I'm using Quartus Prime 17.0.0 - could that be the difference? I assumed it was something stupid I was doing.
0 Kudos
Reply