FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits

USB Blaster Problem

Altera_Forum
Honored Contributor II
1,591 Views

Hi, 

 

I have the Cyclone II development kit and I am running Quartus on my HP Pavillion 9000 2.5Mhz Intel Dual Core laptop with Vista Ultimate 64. 

 

All was fine till I upgraded from Quartus 7.1 to Quartus 8sp1 

 

The programmer could then no longer find the dev board, though the usb-blaster driver was installed and would show up fine in the hardware list. 

 

I uninstalled Quartus 7.1, and the usb blaster driver and have since been trying to re-install the driver, 

 

It finds the driver file fine, lets me know it has not been verified and then 

I get the error that I can not install the driver because the name Altera USB-Blaster is already in use as either a service name or a service display name. 

 

I looked in the services and couldn't see one listed, and briefly looked in the registry for a blaster key match but couldn't find one. 

 

Any ideas? 

 

Simon :)
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
478 Views

I had a very similar problem when I first installed Quatus II 8.0 SP1 on my new computer with Vista x64. The driver that came with 8.0 SP1 would just not work. 

 

To get up and running, I did a system restore to before I installed the USB driver from 8.0 SP1. I then copied the USB driver from 7.2 SP2 from my older computer and installed that instead which works okay.
0 Kudos
Altera_Forum
Honored Contributor II
478 Views

Is it only with Vista 64 ?

0 Kudos
Altera_Forum
Honored Contributor II
478 Views

I have the same misbehaviour in my WinXP 64 system. The driver seems to be installed correctly. Deinstall and reinstall the one out of Quartus6.0 didn't help. 

 

The programmer simply is not finding the USB Blaster. 

 

You have a better advice for me?
0 Kudos
Reply