Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16608 Discussions

Error: Can't synthesize current design -- Top partition does not contain any logic

Altera_Forum
Honored Contributor II
1,210 Views

module testmyand; 

reg a,b; 

wire c; 

initial  

begin  

a=0; 

b=0; 

# 5 a=1; 

# 10 b=1; 

end 

 

 

 

 

 

myand m(a,b,c); 

endmodule 

 

module myand(a, b, c); 

// Input Port(s) 

input a,b; 

 

// Output Port(s) 

 

output c; 

 

assign c=a&b; 

 

// Additional Module Item(s) 

endmodule 

 

when i want to test the second module using the first module ,Error: Can't synthesize current design -- Top partition does not contain any logic 

I don't know why?
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
451 Views

 

--- Quote Start ---  

module testmyand; 

reg a,b; 

wire c; 

initial  

begin  

a=0; 

b=0; 

# 5 a=1; 

# 10 b=1; 

end 

 

 

 

 

 

myand m(a,b,c); 

endmodule 

 

module myand(a, b, c); 

// Input Port(s) 

input a,b; 

 

// Output Port(s) 

 

output c; 

 

assign c=a&b; 

 

// Additional Module Item(s) 

endmodule 

 

when i want to test the second module using the first module ,Error: Can't synthesize current design -- Top partition does not contain any logic 

I don't know why? 

--- Quote End ---  

 

 

First of all you have no input and output ports in your toplevel specified. With no ports in place the Quartus synthesis engine could remove all your logic ( your and-gate). The initial statement and your# value are good for simulation, but could not be used for synthesis. 

 

Try this : 

 

module testmyand ( a,b,c); 

 

input a,b; 

output c;  

 

myand m(a,b,c); 

 

endmodule 

 

module myand(a, b, c); 

// Input Port(s) 

input a,b; 

 

// Output Port(s) 

 

output c; 

 

assign c=a&b; 

 

// Additional Module Item(s) 

endmodule
0 Kudos
Reply