Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

quartus_stp tcl scripts?

Altera_Forum
Honored Contributor II
2,734 Views

I'm looking for information on how to script quartus_stp. I typically instantiate a megawizard plugin generated stp component. I can then do this: 

 

quartus_stp myproject --create_signaltap_hdl_file --stp_file=cli.stp 

 

But I would like to know how to do the following from a quartus_stp tcl script: 

 

set the jtag target 

select a signaltap instance if there are more than one 

group some signals 

set trigger 

run until trigger 

grab the data into a tcl array or to stdout 

 

I can't seem to find any documentation on how to do this. I would be happy for pointer to docs or sample scripts on this issue.
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
1,057 Views

I expect that the are no other stp commands than those listed in quartus ii scripting reference manual. Do you know this document?

0 Kudos
Altera_Forum
Honored Contributor II
1,057 Views

Thank you for your reply. Yes I'm familiar with the document. It only describes the command line parameters and not any tcl commands for performing stp operations like I mentioned. I was hoping that it would be possible to do everything you can do from the GUI from tcl :(

0 Kudos
Altera_Forum
Honored Contributor II
1,057 Views

Try: quartus_sh --qhelp

0 Kudos
Altera_Forum
Honored Contributor II
1,057 Views

Thanks! It appears that the information that I'm looking for is in the TCL API section. I'll check it out.

0 Kudos
Altera_Forum
Honored Contributor II
1,057 Views

BTW, I found scripting signaltap itself kind of a pain. The sourceProbe and memory content editor (documented in the same doc as the signaltap api i believe) lend themselves to scripting far better than signaltap.

0 Kudos
Reply