Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

no sof file

Altera_Forum
Honored Contributor II
1,903 Views

I am using Quartus II 8.1 für Linux. I am compiling a simple project, the compilation is done and I cannot programm the device, because there is no sof file. I cannot find the sof file. I searched the entire PC, but nothing. Thanks.

0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
774 Views

this problem might be due to the invalid license, check your license first

0 Kudos
Altera_Forum
Honored Contributor II
774 Views

You may want to check for any errors/warnings in the Compilation Report Assembler section 

 

You can view this with the Processing Menu->Compilation Report  

 

Expand the Assembler section and click on messages. 

 

A Sof file should always be produced and will be in the top level of your project directory. 

 

Just another thought.... 

 

Have you made sure that the device you have selected is installed in your version of Quartus. 

 

For example, Web Edition only supports a subset of devices although it may allow compilation for others but will not produce an output file.
0 Kudos
Altera_Forum
Honored Contributor II
774 Views

 

--- Quote Start ---  

You may want to check for any errors/warnings in the Compilation Report Assembler section 

 

You can view this with the Processing Menu->Compilation Report  

 

Expand the Assembler section and click on messages. 

 

A Sof file should always be produced and will be in the top level of your project directory. 

 

Just another thought.... 

 

Have you made sure that the device you have selected is installed in your version of Quartus. 

 

For example, Web Edition only supports a subset of devices although it may allow compilation for others but will not produce an output file. 

--- Quote End ---  

 

 

there are no errors in compilation 

Info: Quartus II Full Compilation was successful. 0 errors, 258 warning 

 

I am still using a trial. I do not have a license jet. 

 

I do not understand about the device, which device do you mean. For Linuix there is no Web Edition
0 Kudos
Altera_Forum
Honored Contributor II
774 Views

 

--- Quote Start ---  

this problem might be due to the invalid license, check your license first 

--- Quote End ---  

 

that is it, thank you 

 

Warning: Can't generate programming files because you are currently using the Quartus II software in Evaluation Mod
0 Kudos
Reply