Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

Floating Point Calculation MegaWizard

Altera_Forum
Honored Contributor II
1,098 Views

Hi Guys, 

 

I am interested to use floating point calculation megawizard - ALTFP_ADD_SUB.  

 

The only thing that I change in MegaWizard is single precision but I'm getting somthing other like this: 

 

aclr :in std_logic; 

clk_en :in std_logic; 

clock :in std_logic; 

data :in std_logic_vector(25 downto 0); 

distance :in std_logic_vector(4 downto 0); 

result ut std_logic_vector(25 downto 0); 

 

This behavior is checked in Quartus 12 

In addition I would like to say that the entity name of Mega Wizard has been changed, for example: 

entity Floating_Point_Add_altbarrel_shift_35e is 

when I chose only "Floating Point_Add" to be the entity name. 

Will be glad for any support in this term. 

 

Thnak's  

Idan
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
385 Views

Found the problem! 

For some reason, the name that I gave to the entity ("Floating Point_Add") was hidden at the end of the " Floating Point_Add.vhd" file (inside "Floating Point_Add.qip"). to get to him, you simply need to scroll down to the end of the file (at the beginning of the file the " Floating_Point_Add_altbarrel_shift_35e" entity appear which make the confuse with the " distance". 

Thnaks anyway... 

Idan
0 Kudos
Reply