Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

how to input an external clock source on DE0 board

Altera_Forum
Honored Contributor II
1,123 Views

I want to input an external 8MHZ clock source to the DE0 FPGA poard, but the DE0 board doesn't have the external clock pin for this. Can I use any other pin or method to realsise this? Thank you for your reply.

0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
383 Views

The DE0 board has clock inputs on both GPIO connectors.

0 Kudos
Altera_Forum
Honored Contributor II
383 Views

So for example, I can just connect the external clock source to the pin1 (GPIO0_CLKIN0) in GPIO 0, and assign the clock signal in FPGA to AB12, then the clock frequency of CPU core will become 8MHZ? Is it correct? Thank you for your reply.

0 Kudos
Altera_Forum
Honored Contributor II
383 Views

I'm not aware of the clock distribution scheme of your design, but you can basically clock it from CLKIN0 instead of the 50 MHz crystal. If you only want to change the clock frequency without needing synchronicity to an external clock, changing the PLL settings would be the easier way.

0 Kudos
Reply