FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5892 Discussions

New here and questions on the Max10M50 dev kit

Altera_Forum
Honored Contributor II
1,119 Views

Hi, I've got this kit ... https://www.altera.com/products/boards_and_kits/dev-kits/altera/max-10-fpga-development-kit.html 

 

There seems to be (maybe old) conflicting information on which IDE to use, Quartus 2, Quartus Prime Lite, where to download the latest Flash files ..etc. 

 

The thing is that I don't want to start playing with it, until I have all the information in place, to prevent GPIO conflicts (and smoke). 

 

It'll be nice to have the pre-compilation SOF/POF files on Quartus, so that I can start playing, editing minor things and develop from there, but where to find these files :confused: 

 

Thanks for any info. 

 

NB: Busy going through the courses at the moment.
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
255 Views

Quartus II web edition and Quartus Prime Lite edition are essentially the same. The name was changed to Prime at version 15.1. 

 

Anything you ever need to download for the board is right on that web page you linked to. 

 

You can find design templates and other design examples at the Design Store. This link is for your board specifically, but you can make modifications to other design examples there to make them work on your board: 

 

https://cloud.altera.com/devstore/platform/?acds_version=any&family=max-10&board=37 

 

Good luck and have fun!
0 Kudos
Reply