Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20705 Discussions

.mif file problem

Altera_Forum
Honored Contributor II
1,353 Views

Hi,everyone ! i got a 256*256 gray-scale lena picture.i want to store it into the sram.Due to the limitation of the FPGA internal memory size. i divided the picture into 2 mif file, and send it to the sram "manually" . is there any method to send more than 2 mif files , and store it into the sram "automatically" ? 

 

<note> i have already tried to store the 256*256 image to one mif file, but during the compile process , the compiler showed me the memory is out of useage.
0 Kudos
8 Replies
Altera_Forum
Honored Contributor II
427 Views

a mif file is meant to be associated with actual memory. Once compiled that memory is initialised by mif values. You can't send mif after mif...you send what is in memory. 

If you receive the image into your fpga and want to send it to sram then send it as it comes , you don't need fpga memory to hold it first... 

 

if you mean you have your image data in a file(on PC) then let nios read that into sram directly.
0 Kudos
Altera_Forum
Honored Contributor II
427 Views

thanks for your replying,KAZ! But is there any possible way sending data directly to my design without storing in th rom first ? 

 

i wrote a VGA interface which can recive 8 bits data and display on the monitor, if i want to show an 640*480(or bigger) gray-scale image on the screen.How can i send the pixel data directly to my vga input without saving in the rom or ram, because of the limitation of its storage.
0 Kudos
Altera_Forum
Honored Contributor II
427 Views

Hi, 

 

Naturally if you haven't enough storage inside fpga for your application then you need external memory like your sram idea in the first post. You can send data to sram via fpga without the need for mif files or fpga memory.
0 Kudos
Altera_Forum
Honored Contributor II
427 Views

Thx! but what i am trying to do is playing a video. and my cyclone II fpga only have 2M sram, that means i have not enough space to store my video data in the sram. i want to send video data to my vga input without saving it first. is sopc or nios is a solution? or all the data i want to process or display "must" store it in the rom or sram first?

0 Kudos
Altera_Forum
Honored Contributor II
427 Views

Hi, 

 

The primary idea is not storage by itself but that data should be sent to vga at required speed. Are you sure about your sram size, is it 2MBytes or 2 Mbits? your data is 640x480 bytes. 

 

You may also consider sharing fpga memory with sram if so but it gets complicated.
0 Kudos
Altera_Forum
Honored Contributor II
427 Views

hi everybody! 

i am working on the project of real time visual tracking. i have cyclone II board EP2C70. 

i have setup image processing pipeline. 

now i want to save small template (frame) in ROM, and i want to recal that frame for comparison on the video stream,during the process when video streaming will be flowing through the cyclone II board. 

can any boady know, how can i save a small template (frame) in the ROM?
0 Kudos
Altera_Forum
Honored Contributor II
427 Views

A short cut is this: 

 

open new file 

Quartus => files => new files => mif 

 

set datawidth and format 

 

copy and paste your data into mif an save or convert to hex.
0 Kudos
Altera_Forum
Honored Contributor II
427 Views

sir i am using quartus v6.0 (available with the kit) 

and i want to save a small template (image or frame) in the ROM to recall it during the compilation process when the real time video will be running through the FPGA kit. 

"in the verilog HDL syntax" of insert template, there are three types of Full Design, which one i should use? 

 

Full Design: Counter 

Full Design: Flipflop 

Full Design: Tri-state Buffer 

 

these are three options in quartus v6.0
0 Kudos
Reply