Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16598 Discussions

Modelsim -nodebug with megafunctions

Altera_Forum
Honored Contributor II
2,311 Views

I used the -nodebug option with vcom to compile some VHDL into encrypted RTL for simulation (to be distributed with an IP core product). However, I cannot use it to simulate my design since it instantiates Altera Megafunctions (ie. dcfifo). Modelsim-Altera complains Error: (vsim-3067) Debug module 'C:\altera\90\modelsim_ase\altera\vhdl\altera_mf.dcfifo(behavior)' called from nondebug module 'c:\*deleted*\sim.pst_altera_async_fifo_wrapper(struc)'. 

 

Now this error is pretty self explanitory and I understand why it would stop short on simulating (so that internal signals are not shown). So my question is this: how am I supposed to generate protected (encrypted) precompiled code for RTL simulation if I rely on megafunction components for fifos, memory, and multipliers? 

 

I would like to avoid just using obfuscated code (the alternative).
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
1,380 Views

*bump* 

 

So can anyone give me a solution for this problem or should be more accepting of code obfuscation as a security solution? 

 

EDIT: Perhaps, is there a way to recompile the Altera precompiled libraries so that they are also compiled with "-nodebug"?
0 Kudos
Altera_Forum
Honored Contributor II
1,380 Views

you could try compiling the ModelSim-AE libraries yourself (adapting ModelSim-SE instructions). 

 

see page 9: 

 

http://www.altera.com/literature/hb/qts/qts_qii53001.pdf
0 Kudos
Altera_Forum
Honored Contributor II
1,380 Views

Thanks for the reply. I give that a try when I get my laptop back (its getting a new motherboard).  

 

The down side to this is that users will have to do a vcom -refresh with new major ModelSim versions. But, I guess they'll be doing it for my library anyway; what's one more?
0 Kudos
Altera_Forum
Honored Contributor II
1,380 Views

Thanks for the info. That worked. Sorry for the late reply and bump. Just thought I'd follow up in case someone else had the same question.

0 Kudos
Reply