Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++

How to do IUL in SOPC 4.2?

Altera_Forum
Honored Contributor II
1,068 Views

I'm porting a Legacy .ptf to NiosII/HAL/IDE/The Works. I see that Interface To User Logic has been tossed in the Legacy bin. 

 

What is the new way? I would think Create New Component, but I don't see timing. 

 

Should/Can I use the Legacy IUL in this case, but not select [] Legacy SDK Support? 

 

Thanks, 

Ken
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
336 Views

Kenland, 

 

There shouldn't be anything wrong with using the IUL, but the future is definitely with the Component Editor.  

 

Also, I don't know what you mean by "no timing" in the CEd. It's there, you have to add your HDL files and then connect up your Avalon ports. Once you've done that, you should see the timing, that you're looking for, for each of the ports that you define. 

 

Hope this helps. 

 

- slacker
0 Kudos
Altera_Forum
Honored Contributor II
336 Views

Thanks slacker, 

 

I don't have any hdl files. I just want to add some ports, enter the timing and use my peripheral like with the IUL. Only I don't want it to be obsolete.  

 

I see there are new NiosII docs. I'll go read and reread them. The IUL was a true jewel IMO. 

 

Thanks, 

Ken
0 Kudos
Reply