Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12600 Discussions

Generate Nios doesn't work on Nios II Eval Kit

Altera_Forum
Honored Contributor II
954 Views

Hello 

 

I am a new user of the Nios II Evaluation Kit which is provided with Quartus II 4.2 

 

When I download Nios II examples which are already generated, there is no problem and C program examples (such as "Hello World") work perfectly. 

 

But if I generate a new .sof file (without modify the original design), download it to the board, and try to execute "Hello World", the following message is printed on the message window of Nios II IDE tool : 

"Using cable "Nios II Evaluation Board [USB-0]", device 1, instance 0x00 

Pausing target processor: not responding. 

Resetting and trying again: FAILED 

Leaving target processor paused" 

 

Note : It seems that that the PLL instanciate by tools is not the good one. On this kit, only PLL 2 of the Cyclone EP1C12F324 is connected to the external 24 MHz clock but when Nios II is generated, the PLL 1 is chosen... Of course, I can't choose PLL 2 as PLL instance... 

 

Have you any idea to solve this problem ? 

Thanks 

 

Sylvain
0 Kudos
0 Replies
Reply