Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++

problem generating .sof file

Altera_Forum
Honored Contributor II
1,084 Views

Hello all !! 

I am using DE2_NIOS_HOST_MOUSE_VGA project which came with DE2 board. 

I am using 9.0 version. When opening the SOPC builder is says an error "Error: ISP1362.avalon_slave_1_irq: associatedAddressablePoint out of range" 

when I delete the ISP1362 component from the added library .. the error goes but when compiling it gives a lot of error - 

Error: Port "OTG_ADDR_from_the_ISP1362" does not exist in macrofunction "u0" 

Error: Port "OTG_CS_N_from_the_ISP1362" does not exist in macrofunction "u0" 

Error: Port "OTG_DATA_to_and_from_the_ISP1362" does not exist in macrofunction "u0" 

Error: Port "OTG_INT0_to_the_ISP1362" does not exist in macrofunction "u0" 

Error: Port "OTG_INT1_to_the_ISP1362" does not exist in macrofunction "u0" 

Error: Port "OTG_RD_N_from_the_ISP1362" does not exist in macrofunction "u0" 

Error: Port "OTG_RST_N_from_the_ISP1362" does not exist in macrofunction "u0" 

Error: Port "OTG_WR_N_from_the_ISP1362" does not exist in macrofunction "u0" 

Error: Quartus II Analysis & Synthesis was unsuccessful. 8 errors, 68 warnings 

Error: Peak virtual memory: 275 megabytes 

Error: Processing ended: Sun Sep 06 00:48:17 2009 

Error: Elapsed time: 00:00:25 

Error: Total CPU time (on all processors): 00:00:26 

 

I am trying to build .sof file which I can use later for configuring uClinux on DE2 board. 

 

0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
364 Views

I have also encountered the same problem, what you do to solve this problem. How to solvethis problem? Can you tell me? Thanks! !

0 Kudos
Altera_Forum
Honored Contributor II
364 Views

did anybody solve this problem yet?

0 Kudos
Altera_Forum
Honored Contributor II
364 Views

I have also encountered the same problem,did anybody solve this problem yet?

0 Kudos
Reply