Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16611 Discussions

synthesisable "wait" statement?

Altera_Forum
Honored Contributor II
1,032 Views

Hi, 

I found that it is not possible to synthesis VHDL wait statement in quartus 2. 

Is there any other alternative for this. 

What I am trying to do, is to generate some signals as shown in attached picture. 

 

Thanks in advance!
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
352 Views

The wait statement was never put in to be synthesisable. It is there for modeling purposes only. 

 

The easiest (and with FPGAs, probably the only way) is to use a clock and then you can syncronise all logic. This means you can garantee waiting times through counting clock cycles.
0 Kudos
Reply