Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

Error: Peak virtual memory: 255 megabytes

Altera_Forum
Honored Contributor II
16,720 Views

Hello, 

 

I'm new to FPGA. I'm doing this for a 4th year course and I'm facing a problem. 

 

When I compile the project, I'm getting these errors: 

Error: Design contains 10582 blocks of type logic cell. However, device contains only 10570. Error: Can't fit design in device Error: Quartus II Fitter was unsuccessful. 2 errors, 526 warnings Error: Peak virtual memory: 255 megabytes Error: Processing ended: Wed Nov 04 22:13:32 2009 Error: Elapsed time: 00:22:22 

 

I went through the "Resource Optimization Advisor" but no luck (I'm not sure If I'm not supposed to do this). 

 

 

Please help me with this issue. I literally spent the whole day trying to solve it. 

 

 

Regards, 

Khalid
0 Kudos
16 Replies
Altera_Forum
Honored Contributor II
9,275 Views

Your design is using too many logic resources. If you post it here, we may be able to help optimize it. 

 

What device family are you using? 

 

Jake
0 Kudos
Altera_Forum
Honored Contributor II
9,275 Views

 

--- Quote Start ---  

Your design is using too many logic resources. If you post it here, we may be able to help optimize it. 

 

What device family are you using? 

 

Jake 

--- Quote End ---  

 

 

Hello Jake, 

 

Thanks for your reply. It's NIOSII Stratix 1S10. 

 

I'm following a tutorial by Altera. http://www.altera.com/literature/tt/tt_nios2_multiprocessor_tutorial.pdf (page 33) 

 

Which part do you want me to copy? It's a design in SOPC Builder. It was generated there successfully, but when I compiled it in Quartus I got this error. 

 

Just so you know, I could compile it at the university lab but I can't using my laptop. I don't think it's a matter of a computer resources, right? 

 

 

Thanks in advance. 

 

 

Khalid
0 Kudos
Altera_Forum
Honored Contributor II
9,275 Views

No it's not a computer resource issue. The design you are creating is actually too large to fit in the Stratix device.  

 

Since you mentioned doing it at home rather than at school, I wonder if the reason it compiled at school is that your university actually has a license for the IP cores you are using. If you don't have a license (which I assume you don't on your laptop), the design actually uses more logic because it inserts some logic to support the OpenCore Plus evaluation of the core. This logic is used to make the IP timeout after an hour of running on the FPGA. 

 

Regardless, just zip the whole project folder and post it. If that is too large, you can archive the project from within Quartus and just post the archive here. 

 

Jake
0 Kudos
Altera_Forum
Honored Contributor II
9,275 Views

Thanks Jake. Here you go!

0 Kudos
Altera_Forum
Honored Contributor II
9,275 Views

BTW, at school we have the full licensed 8.0 and the web edition 9.0. And we're using the web edition (same as the one I have in my laptop).

0 Kudos
Altera_Forum
Honored Contributor II
9,275 Views

Hmmm. Not sure what the problem is but when I try to open the project, Quartus crashes on me. I'll see if I can work around it. 

 

Jake
0 Kudos
Altera_Forum
Honored Contributor II
9,275 Views

Pleaaaaaaaaaaaaaaaase :(  

 

I'm counting on you...
0 Kudos
Altera_Forum
Honored Contributor II
9,275 Views

Well, it compiled for me (I ended up using 9.1). It's extremely full (10,467 LEs out of 10,570). Now I should note that just because you are using the web edition at school doesn't mean they don't have a valid IP license. If this exact same project compiles on my machine, and your machine a school, but not your laptop; I can think of no other reason than you don't have the same IP licenses (for things like NIOS processor) that you have at school. 

 

Jake
0 Kudos
Altera_Forum
Honored Contributor II
9,275 Views

 

--- Quote Start ---  

Pleaaaaaaaaaaaaaaaase :(  

 

I'm counting on you... 

--- Quote End ---  

 

 

Hi, 

 

I have a good and a bad message for you. The good one I could run your project , but it doesn't fit. I will have a brief look to it. 

 

Kind regards 

 

GPK
0 Kudos
Altera_Forum
Honored Contributor II
9,275 Views

 

--- Quote Start ---  

Hi, 

 

I have a good and a bad message for you. The good one I could run your project , but it doesn't fit. I will have a brief look to it. 

 

Kind regards 

 

GPK 

--- Quote End ---  

 

 

Hi, 

 

the bad news is that your design needs 12302 logic elements. I got this number by running 

your design with a larger device selected. The number of the logic elements you got with the error message is not correct ( I never trust this numbers in the past). Your device has only 10xxx logic elements. I'm pretty sure that you will not get a fit without modifying ( means reduce your design size) your design. Even the best area optimization algorithm will not bring down your design to the required size. Sorry for this bad news. 

 

Kind regards 

 

GPK
0 Kudos
Altera_Forum
Honored Contributor II
9,275 Views

 

--- Quote Start ---  

Well, it compiled for me (I ended up using 9.1). It's extremely full (10,467 LEs out of 10,570). Now I should note that just because you are using the web edition at school doesn't mean they don't have a valid IP license. If this exact same project compiles on my machine, and your machine a school, but not your laptop; I can think of no other reason than you don't have the same IP licenses (for things like NIOS processor) that you have at school. 

 

Jake 

--- Quote End ---  

 

 

Hi Jake, 

 

did you something special or is it only the new Quartus Version ? I treid the same with Quartus 9.0 and it didn't fit. 

 

Kind regards 

 

GPK
0 Kudos
Altera_Forum
Honored Contributor II
9,275 Views

I didn't do anything special. For some reason I can't open the project in 9.0 without Quartus crashing. Please do something for me: 

 

1 - Open the compilation report for your project.  

2 - Expand the "Analysis & Synthesis" section of the report. 

3 - Click on the "IP Cores Summary" report. 

 

This will give you a list of the IP used in the project along with it's corresponding license. If any of those IP cores have an OpenCore evaluation license, your design will consume more logic when you build it than when I build it. 

 

Jake
0 Kudos
Altera_Forum
Honored Contributor II
9,275 Views

 

--- Quote Start ---  

I didn't do anything special. For some reason I can't open the project in 9.0 without Quartus crashing. Please do something for me: 

 

1 - Open the compilation report for your project.  

2 - Expand the "Analysis & Synthesis" section of the report. 

3 - Click on the "IP Cores Summary" report. 

 

This will give you a list of the IP used in the project along with it's corresponding license. If any of those IP cores have an OpenCore evaluation license, your design will consume more logic when you build it than when I build it. 

 

Jake 

--- Quote End ---  

 

 

Hi Jake, 

 

thanks for your info. I did not get the "IP Cores Summary", but the warning during Analysis & Synthesis that the NIOS II will use the Evaluation feature. I never get the  

information that the synthesis results of an evaluation version will be worse than the  

licenced version.  

 

Kind regards 

 

GPK
0 Kudos
Altera_Forum
Honored Contributor II
9,275 Views

 

--- Quote Start ---  

Your design is using too many logic resources. If you post it here, we may be able to help optimize it. 

 

What device family are you using? 

 

Jake 

--- Quote End ---  

 

 

Hi 

 

I get the same problem now, but the strange thing is my project once compiled before. I'm using a Cyclone 3c25 board and used a 60kb on-chip memory to accomodate 'fopen' and 'fclose' commands. I once tested my project and it did compile. Now it doesnt anymore. I heard that it could have to do with the license or something? Could it be the problem?
0 Kudos
Altera_Forum
Honored Contributor II
9,275 Views

I've got this problem. There's a VHDL syntax error warned before in my code.

0 Kudos
Altera_Forum
Honored Contributor II
9,275 Views

I was trying something like this in quartus 13.0 SP1 CycloneII device.  

 

cmplxAdd add2(.z(addOut2),.x(inp1), .y({-inp2[63:32],-inp2[31:0]}); 

 

And received Error: Peak virtual memory: 462 megabytes. 

 

I changed the above instantiation as 

 

cmplxAdd add2(addOut2, inp1, {-inp2[63:32],-inp2[31:0]});  

 

and the error vanished.  

 

Whats the difference in the above two statements which is causing the Peak Virtual Memory error? 

 

Or is this a bug in Quartus ?
0 Kudos
Reply