Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20705 Discussions

connection to pc...

Altera_Forum
Honored Contributor II
2,294 Views

i have some input pins that i need to drive the fpga, what is the simplest connector from the fpga to the pc. 

thanks
0 Kudos
9 Replies
Altera_Forum
Honored Contributor II
591 Views

What is it you're trying to do? You could use - 

 

- GPIO pins connected to a parallel port of a PC 

- An RS-232 transceiver and a DB9 connector to connect to a serial port of a PC. 

 

Anything beyond that would not fall in the simple category.
0 Kudos
Altera_Forum
Honored Contributor II
591 Views

 

--- Quote Start ---  

What is it you're trying to do? You could use - 

 

- GPIO pins connected to a parallel port of a PC 

- An RS-232 transceiver and a DB9 connector to connect to a serial port of a PC. 

 

Anything beyond that would not fall in the simple category. 

--- Quote End ---  

 

 

thanks... 

so i can use UART??? 

which pin of the fpga are GPIO??? 

how can i make quartus2 to know that i connect with UART and to drive signals to the fpga??? 

thanks hagico....
0 Kudos
Altera_Forum
Honored Contributor II
591 Views

thanks... 

so i can use UART??? 

which pin of the fpga are GPIO??? 

how can i make quartus2 to know that i connect with UART and to drive signals to the fpga??? 

thanks hagico....
0 Kudos
Altera_Forum
Honored Contributor II
591 Views

Wait a minute. What is it you are trying to do? Do you have a board you are working with? 

 

Jake
0 Kudos
Altera_Forum
Honored Contributor II
591 Views

i am a student that designing a board for arria2 (HTOL project) and i need to connect the fpga to a pc and drive signal (clk ,high , low etc.) from the pc to fpga (and maybe from the fpga to pc). 

so i looking for a simple way to do it. 

i looking for the hardware solution and software (quartus???) solution. 

i am a begginer so if you can please write me a long and simple answer i will be appreciate it very much . 

thanks....
0 Kudos
Altera_Forum
Honored Contributor II
591 Views

I certainly can't tell you how to do a board design via the forum. You're going to need to find someone who can work with you more closely. Board design is non-trivial. 

 

Jake
0 Kudos
Altera_Forum
Honored Contributor II
591 Views

Parallel Port - is the the best and low cost I/O - input / output. Yet it offers reasonable speed for student projects. Also may professional equipments / projects / works. Can you eloborate what you need to feed to the FPGA ? input signals and the timings you need ?? GKM

0 Kudos
Altera_Forum
Honored Contributor II
591 Views

1. i am a student that designing a board ..... 

pc and drive signal (clk ,high , low etc.) from the pc to fpga....... 

i looking for the hardware solution and software (quartus???) solution. 

 

Microsoft Visual Studio - C++ or VB can do this job for PC side. 

 

OUTPUTS - You can genetate clock, High Level, Low level.  

 

INPUTS - You can read High and Low level signals. Not Clocks. 

 

It all depends on what you want to do and How much resources - time / money you have. 

 

 

2. i am a begginer so if you can please write me a long and simple answer i will be appreciate it very much . 

thanks.... 

 

As a beginer and student it may be difficult to learn and write code in C++.  

 

GKM
0 Kudos
Altera_Forum
Honored Contributor II
591 Views

Why don't you use a development kit instead? Designing a fpga board when you are a beginner isn't an easy task. 

 

I fail to see what you want to do with the FPGA... If you just need to input/output some signals, a simple circuit with buffers connected to a parallel port, or a ftdi usb module (http://www.ftdichip.com/products/evaluationkits/dipmodules.htm)should be more than enough.
0 Kudos
Reply