Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20693 Discussions

SOPC component Hardware TCl file Error

Altera_Forum
Honored Contributor II
1,103 Views

Hi, 

 

I have made an IP component TCL file for SOPC builder in quartus II version 8.1. Now I am using the same file in quartus II version 9.0, it gives me error while adding a component. In TCL file I have used the callback function to display the message on component editor as per entered parameter. Please see attached screen shot for the error message. Also find the callback function added in TCL file below. 

# callouts 

set_module_property Validation_Callback validate 

# Module parameters 

add_parameter "Simulation" "integer" "0" "0 = no simulation; 1 = simulation" 

set_parameter_property "Simulation" "display_name" "Enter Simulation Option" 

set_parameter_property "Simulation" ALLOWED_RANGES {0 1} 

 

proc validate {} { 

set Simualtion [get_parameter_value "Simulation"] 

if {$Simulation == 0} { 

send_message "info" "Disabling simulation." 

} else { 

send_message "info" "Enabling simulation." 

 

 

 

Can any one help me in debugging this? 

 

Regards, 

Jigar G. Shah
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
302 Views

Try this instead: 

 

# callouts set_module_property VALIDATION_CALLBACK validate # Module parameters add_parameter SIMULATION INTEGER "0" "" set_parameter_property SIMULATION DISPLAY_NAME "Enter Simulation Option" set_parameter_property SIMULATION ALLOWED_RANGES {0:No Simulation 1:Simulation} proc validate {} { set simulation if {$simulation == 0} { send_message "Info "Disabling simulation." } else { send_message Info "Enabling simulation." } } 

 

Jake
0 Kudos
Altera_Forum
Honored Contributor II
302 Views

Thanks Jake, It works.

0 Kudos
Reply