Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

Modelsim is exiting with code 211

Altera_Forum
Honored Contributor II
1,581 Views

Hi, I have a problem with Modelsim altera. Once the quartus simulation is started, modelsim opens and I get this message: "Modelsim is exiting with code 211". I checked in the transcript and no errors are shown. I've tried disabling the antivirus and I always get the same thing. What could be your problem ? Thanks for any answer

0 Kudos
0 Replies
Reply