Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16558 Discussions

State Machine Editor Blowing Up

Altera_Forum
Honored Contributor II
1,013 Views

The State Machine Editor is blowing up on me every time I try to use it. I get only a few states and transitions before it yields: 

 

*** Fatal Error: Access Violation at 0X28746867 

Module: quartus.exe 

Stack Trace: 

0x19810: _DllMain@12 + 0x12790 (edt_sme) 

End-trace 

 

then exits. 

 

Is there a solution to this? 

 

Thanks
0 Kudos
0 Replies
Reply