Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

verilog no warning when input wired to submodule output?

Altera_Forum
Honored Contributor II
1,292 Views

Hi, had a hard to find bug in my design, the cause went unnoticed since Quartus 9.1WE did not give any warning/error on the problem that boils down to this: 

 

module test_v10(clk, o); input clk; input o; // should really be 'output o;' wire o; mod u1(.clk(clk), .out(o)); Is there some setting for Quartus to report such problems? (just thinking, maybe i unknowingly disabled it somewhere) Or do I make some other fundamental mistake in the code? :)
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
547 Views

Most likely what Quartus did report was that a node had no driver, or that it was synthesizing away logic (because it wasn't connected to anything), or that it converted a tri-state buffer to an input or something. These would have been visible in the synthesis report and/or fitter report. 

 

The code itself is not illegal. And ModelSim won't flag it as an error/warning either. 

 

Jake
0 Kudos
Altera_Forum
Honored Contributor II
547 Views

 

--- Quote Start ---  

Hi, had a hard to find bug in my design, the cause went unnoticed since Quartus 9.1WE did not give any warning/error on the problem that boils down to this: 

 

module test_v10(clk, o); input clk; input o; // should really be 'output o;' wire o; mod u1(.clk(clk), .out(o)); Is there some setting for Quartus to report such problems? (just thinking, maybe i unknowingly disabled it somewhere) Or do I make some other fundamental mistake in the code? :) 

--- Quote End ---  

 

 

Hi, 

 

you should look for warnings like: 

 

Warning: Design contains 2 input pin(s) that do not drive logic 

Warning (15610): No output dependent on input pin "clk" 

Warning (15610): No output dependent on input pin "o" 

 

or 

 

Warning: Output pins are stuck at VCC or GND 

Warning (13410): Pin "test" is stuck at VCC 

 

Kind regards 

 

GPK
0 Kudos
Reply