Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12590 Discussions

Error while starting the quartus web edition on ubuntu9.10

Altera_Forum
Honored Contributor II
1,210 Views

After fresh installation of Quartus II web edition on the Ubuntu 9.10 system and starting the quartus I am getting the below mentined error 

 

quartus: /opt/altera9.1sp1/quartus/linux/libuuid.so.1: no version information available (required by /usr/lib32/libSM.so.6) 

quartus: symbol lookup error: /usr/lib32/libXi.so: undefined symbol: XESetWireToEventCookie 

 

New to Ubuntu OS. 

Urgently need help.. 

 

I tried even setting the path environment variables as mentioned in the installation guidelines 

But it still did not work out...
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
334 Views

try to move/remote $QUARTUS_ROOTDIR/linux/libX11.so.6 and $QUARTUS_ROOTDIR/linux/libuuid.so.1.

0 Kudos
Altera_Forum
Honored Contributor II
334 Views

and it might be slightly confusing since this forum is called Operating Systems > Linux, but this should be moved to the Quartus forum if any mods are around.

0 Kudos
Reply