Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

array initialisation

Altera_Forum
Honored Contributor II
1,133 Views

HI, ive been trying to reset an array using the following lines: 

 

type array_t is array (0 to 60) of integer;  

signal array_n : array_t:= ((others=> (others=>'0'))); 

 

but i get the following error: 

 

Error (10514): VHDL aggregate error at graph_2.vhd(24): can't determine type of aggregate -- found 0 possible types 

 

 

 

 

please somebody help... 

 

Kind Regards
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
388 Views

Integer isn't a logic_vector, although it's represented by it in synthesis. 

 

Simply write array_t:=(others=> 0)
0 Kudos
Altera_Forum
Honored Contributor II
388 Views

Thank you very much FvM, it worked :D 

 

Regards
0 Kudos
Reply