Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++

quartus 2 installation

Altera_Forum
Honored Contributor II
1,021 Views

hello, I'm trying to install quartus 2 on linux ubuntu 10.04 but each time I do  

>> sudo sh install(*** installation file) 

 

I get the following error 

install: 1: Syntax error: "&" unexpected 

 

or if I do  

>>./install 

I get the following error 

bash: ./install: /bin/csh: bad interpreter: No such file or directory 

 

could someone please help me... I'm new to both linux and altera... 

I remember.. for xilinx ise webpack alli had to do was  

 

>>chmod a+x install  

and then 

>>./install 

help me with altera ...... 

 

thanks.. 

 

prashant
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
272 Views

This forum is about running Linux on the NIOS, not on running Quartus on Linux, so, to get better answers, ask appropriate questions in the Quartus form.  

 

-Michael
0 Kudos
Reply