Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Testbench

Altera_Forum
Honored Contributor II
1,311 Views

Hi everyone 

I need to help for my graduate thesis. I am working on aes 128 cipher and i had a code but i need a simulation and testbench . how can i do them . pls help me . I appretiated for your advice and help 

I hope I could tell you thanks.
0 Kudos
9 Replies
Altera_Forum
Honored Contributor II
585 Views

What have you tried so far, and what problems are you having?

0 Kudos
Altera_Forum
Honored Contributor II
585 Views

my ports are  

entity key_gen is 

port (roundkey: in STD_LOGIC_VECTOR(127 downto 0); 

round: in round_type; 

DATAOUT: out STD_LOGIC_VECTOR(127 downto 0)); 

end entity key_gen; 

 

I need to testbench code for modelsim simulation.
0 Kudos
Altera_Forum
Honored Contributor II
585 Views

And whats the problem?

0 Kudos
Altera_Forum
Honored Contributor II
585 Views

I had a aes code but i dont know that is it works correct. I need to testbench code for check my code. can you control my code and faults? I am a beginner at fpga 

my code's here
0 Kudos
Altera_Forum
Honored Contributor II
585 Views

Why not try writing a testbench yourself, and come back when you have problems?

0 Kudos
Altera_Forum
Honored Contributor II
585 Views

i am trying to write but i am not so good. and I have to 2 weeks for project ending.

0 Kudos
Altera_Forum
Honored Contributor II
585 Views

 

--- Quote Start ---  

i am trying to write but i am not so good. and I have to 2 weeks for project ending. 

--- Quote End ---  

 

 

Well, have a go, then come back when you are having problems. We can help with problems, not do your work for you.
0 Kudos
Altera_Forum
Honored Contributor II
585 Views

i have to a few error at testbench code.. can anyone help me?

0 Kudos
Altera_Forum
Honored Contributor II
585 Views

You have no "begin" after architecture. 

"variable" cannot be used in architectures, they have to be in processes/functions (did you mean signal?)
0 Kudos
Reply