Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

why warning "Missing drive strength" ?

Altera_Forum
Honored Contributor II
4,089 Views

Hello all, 

I am a beginner of Altera FPGA. Today I wrote a simple project with Quartus II 10.0. I set the device as EP3C25, then I wrote a simple VHDL, assigned the location and IO standard. When I compile this project, I always got a warning:"Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details". I check the assignment waring report, and all out pins are identified as "missing drive strength". 

I changed the device to EP1C12, reassign the location, the warning is eliminated. I did some tests, and found that if I use Cyclone III device, this warning will come out. Could anybody tell me why?
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
2,409 Views

Drive strenght is the maximum current that the pin can drive. 

 

In modern FPGA this is programmbale. 

If you don't set the value you get a warning saying that a default value (probably the highest current) has been set. 

 

This is not a problem unless you don't have signal integrity problems  

in the integration of your FPGA on the final board. 

 

I think that when you don't get the warning is because the value is not programmable
0 Kudos
Altera_Forum
Honored Contributor II
2,409 Views

Thank you. Could you tell how to program the drive strength?

0 Kudos
Altera_Forum
Honored Contributor II
2,409 Views

 

--- Quote Start ---  

Thank you. Could you tell how to program the drive strength? 

--- Quote End ---  

 

 

In the pin planner in the pin list there's a column called current strength

If it doesn't show up there, right-click your mouse in the pin list and select customize columns.... You can add the currenth strenght column and select the desired drive strength. 

 

good luck, Ton
0 Kudos
Altera_Forum
Honored Contributor II
2,409 Views

The warning is eliminated when I did what you told me to do.Thank you.

0 Kudos
Reply