Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Pin flash_nCE, nCE0 as I/O

Altera_Forum
Honored Contributor II
1,505 Views

Hi together, 

I use a EP3C16F484-FPGA. On this type pin E2 is configured as "flash_nCE, nCE0" per default. Is ist possible to use this pin as norml I/O pin? 

If yes, how and where do I have to configure this pin? 

It would be very nice to get helpful answers. 

Regards, 

Steffen
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
385 Views

See Assignments/Device/Device and Pin Options/Dual Purpose Pins

0 Kudos
Altera_Forum
Honored Contributor II
385 Views

Hi,  

 

Under Assignments/Device/Device and Pin Options/Dual Purpose Pins 

you can configure your Dual Purpose Pins. 

 

But if you are using Quartus 10.0, there is an error in the GUI. 

In this case, you have to configure the Dual Purpose Pins by yourself in the  

Quartus Setting File. 

 

e.g. "Project_Name.qsf". 

 

Open this File and correct the global assignments for the following Dual Purpose Pins. 

 

 

set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO" 

set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO" 

set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO" 

set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO" 

set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO" 

set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "USE AS REGULAR IO" 

set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "USE AS REGULAR IO"
0 Kudos
Altera_Forum
Honored Contributor II
385 Views

Thanks to all of you for these fast and helpful responses! 

I still use Quartus 9.1 SP2 so I have not the Problem with this bug. 

Have a nice day 

Steffen
0 Kudos
Altera_Forum
Honored Contributor II
385 Views

 

--- Quote Start ---  

Hi,  

 

Under Assignments/Device/Device and Pin Options/Dual Purpose Pins 

you can configure your Dual Purpose Pins. 

 

But if you are using Quartus 10.0, there is an error in the GUI. 

In this case, you have to configure the Dual Purpose Pins by yourself in the  

Quartus Setting File. 

 

e.g. "Project_Name.qsf". 

 

Open this File and correct the global assignments for the following Dual Purpose Pins. 

 

 

set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO" 

set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO" 

set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO" 

set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO" 

set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO" 

set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "USE AS REGULAR IO" 

set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "USE AS REGULAR IO" 

--- Quote End ---  

 

i just want to thank nicolas, your solution solved my problem, i use 10.0...... 

 

thanks very much
0 Kudos
Reply