Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16608 Discussions

Problem creating symbol files in 10.0

Altera_Forum
Honored Contributor II
2,682 Views

I am a new user of Quartus II 10.0 under Linux (Ubuntu 10.04). I have been using it for a few days, and it works mostly OK after having overcome some initial installation problems (communication with web browser, missing libraries, the automated license registration, etc.). One thing doesn't work at all, however, and that is generating symbol files from the Block/Schematic Editor. My design is starting to become unwieldy, and I want to refactor it into smaller parts. As I understand it, BSF files are the way you link different BDF files together.  

 

I'm running out of troubleshooting ideas, and haven't seen anyone in the forum reporting anything like what I'm seeing. Here's a simple failure scenario: 

 

1. Start Quartus II and create a brand new project with the wizard ("Open New Project Wizard"). Set the project name, directory name, and top-level entity to the same thing ("buggy" in my case). Accept the default for everything else. 

 

2. From the Task box, select "Create New Design File" and chose "Block Diagram/Schematic File" as the type. 

 

3. Draw an input pin A, and output pin B, and a NOT gate inbetween. 

 

4. Save the design file using the default name "buggy.bdf". 

 

5. With buggy.bdf still open and active, select "File / Create/Update / Create Symbol Files for current file" from the menu. Choose the suggested default "buggy.bsf" as the output file name. 

 

When doing this, Quartus II now gives me an error dialog saying: 

 

"Can't open read-only file /home/ricwe/buggy/buggy.bsf".  

 

No matter what I try, I get the same error. There is nothing in the message console indicating what went wrong - it is completely empty. The error dialog is a bit weird in that the program complains about not being able to open it the file for read-only file in a context where it should rather have created it. Perhaps some part of Quartus II was supposed to create the file (and failed silently), while another part was suposed to read the newly created file (and failed noisily). I don't see any file permission problems with Quartus II otherwise, and doubt that is the real problem. 

 

In contrast, generating VHDL and/or Verilog HDL from the same BDF file works fine. Generating symbol files from such VHDL and Verilog HDL also works fine for this toy example (but unfortunately not for my larger project, due to pin naming incompatibilities.) 

 

I have tried running strace -f on quartus, looking for failed system calls, but I see nothing strange at the time it fails. I kind of suspect some problem with the installation such as missing libraries etc, but if so, it is a silent failure. (I realize that Ubuntu 10.04.1 is not one of the officially supported Linux flavors.) 

 

Any ideas? Does it work for everyone else?
0 Kudos
8 Replies
Altera_Forum
Honored Contributor II
1,707 Views

You could try "touch"ing the file first...i.e.: "touch buggy.bsf" from a shell in your home directory. 

 

Also: 

 

  1. Make sure that your umask settings are correct. I've always used 022. Type "umask" from a shell, by itself, to see what the value currently is. "umask 022" to change it to more common permission settings. 

    • There's a good description in the manpage for umask, in case you need it. 

     

  2. Make sure that the permissions on /home/ricwe/buggy are correct, as well. 

 

Cheers, 

 

--slacker
0 Kudos
Altera_Forum
Honored Contributor II
1,707 Views

Thanks, but I don't really think it's a permission problem since Quartos has no problem creating other types of files in the same directory. In fact, it can also create buggy.bsf in the very same directory if generated using a VHDL file (buggy.vhd) or Verilog file (buggy.v) as the current file. It is just generation from BDF that doesn't work.  

 

Anyway, my umask is 022. Running "touch buggy.bsf" creates an empty file as expected. If I then try to generate it from Quartos, I am alerted that there already is a file with that name and I'm asked if I want to overwrite it. If I answer yes, the symptom is the same: "Can't open read-only file /home/ricwe/buggy/buggy.bsf". The file remains empty, and strace shows that Quartos neither tried to read or write the file. 

 

Does it work for you? Is anything displayed in the message console when you generate a file? If so, could you tell me what the command and parameters are?
0 Kudos
Altera_Forum
Honored Contributor II
1,707 Views

Don't use schematic for anything but the top level here, and even that rarely. 

 

I take it the Megafunctions (PLL, etc.) generate BSFs with no issues, right? 

 

--slacker
0 Kudos
Altera_Forum
Honored Contributor II
1,707 Views

That's right, BSF generation from Megafunctions works fine.

0 Kudos
Altera_Forum
Honored Contributor II
1,707 Views

Same problem here. 

Open SUSE 11.3, 64bit. Quartus 10.0. 

Also getting frequent seg-faults.
0 Kudos
Altera_Forum
Honored Contributor II
1,707 Views

Same problem with Fedora release 9. Quartus 10.0 sp1. 

 

Linux hostname 2.6.25-14.fc9.i686# 1 SMP Thu May 1 06:28:41 EDT 2008 i686 i686 i386 GNU/Linux 

 

Is there some other way to make symbols? 

 

Thanks.
0 Kudos
Altera_Forum
Honored Contributor II
1,707 Views

Found a workaround. Save diagram as VHDL (File->Create/Update->Create HDL from current file). Then open the VHDL file and do File->Create/Update->Create symbol files for current file.

0 Kudos
Altera_Forum
Honored Contributor II
1,707 Views

Simply creating an empty/blank/new *.bsf file with the required filename did the trick for me.

0 Kudos
Reply