Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

timing Analyzer

Altera_Forum
Honored Contributor II
991 Views

i get the message : " Critical Warning: Timing requirements for slow timing model timing analysis were not met. See Report window for details." 

Failed paths: 768 , slack-265ns. what can i do against this?
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
284 Views

Hard to tell without any information of your design. 

Maybe just the clock settings is not sett corectly
0 Kudos
Reply