Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

Error:TRI or OPNDRN buffers permanently enabled

Altera_Forum
Honored Contributor II
1,217 Views

1.Warning: TRI or OPNDRN buffers permanently enabled 

2.Warning (10235):variable "readMem" is read inside the Always Construct but isn't in the Always Construct's Event Control 

3.Warning (10272): case item expression covers a value already covered by a previous case item 

4.Warning: Following 16 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results 

( The 16pins are for FD[15:0],the reg FD[15:0] is inout style.) 

 

Any help will be appreciated very much, thanks a lot!
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
473 Views

anyone can help me?

0 Kudos
Altera_Forum
Honored Contributor II
473 Views

Warnings are no error. They may also report a behaviour that has been intentionally set in your design. If you however placed a tristate or open drain driver, that is expected to be in both enabled and disabled state during device operation, then your design has been obviously implemented incorrectly. Check the logic driving the output enable signal respectively the assignments driving the inout pin.  

 

Warnings 2 and 3 are pretty self explanatory, I think.
0 Kudos
Altera_Forum
Honored Contributor II
473 Views

thank you very much,I need to check the codes more carefully.

0 Kudos
Reply