Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

Critical warning about Global

Altera_Forum
Honored Contributor II
935 Views

Hi again, 

 

I'm filling the forum with all my questions.  

But i'm learning from each one of it.  

 

Now i have 

the following problem. The compiler gives a critical  

warning about 2 input pins. I have assigned them  

as globals in the assignment editor but it still gives 

the warning that i should make the pins global ...  

In the image i took a snapshot from the warning  

and the setting.
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
222 Views

clock inputs must be assigned to dedicated clock pins. I think you have connected them to non-dedicated pins but then applied global setting. 

It is not the recommended design method.
0 Kudos
Reply