Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20639 Discussions

what is fast input?

Altera_Forum
Honored Contributor II
1,436 Views

What's the meaning of fast input/fast output/fast output enable register

How can I find the information of the conception? I googled, but found nothing... 

Thank you so much!
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
528 Views

This assignment forces registers into the I/O cell. (If the device does not have registers in the I/O cell, then it forces them into the LAB next to the I/O). This was used a lot many years ago when the fitter wasn't as good at determining if registers should go in the I/O cell. Technically, the best way now is to enter the correct timing constraints on the I/O, and the fitter will figure out where to put the registers. Many people still use it on interfaces they dont' put timing constraints on, or just because they feel safe with it, since it takes away any decisions the fitter could make. (This all assumes the RTL has a register next to the top-level port. If you have a big cloud of logic, then the I/O cell register can't be used and you'll get an assignment that the warning was ignored.) Also, you can apply the assignment to the register names, but it's usually just applied to the top-level port name(the port name is in the To column of the assignment editor and the From column is left empty).

0 Kudos
Altera_Forum
Honored Contributor II
528 Views

take a look at page 2: 

 

http://www.altera.com/literature/wp/wp_stxtco.pdf 

 

the idea is to use registers in the I/O elements rather than in the logic core
0 Kudos
Altera_Forum
Honored Contributor II
528 Views

Thanks for your excellent and thorough explaination!

0 Kudos
Altera_Forum
Honored Contributor II
528 Views

What is the difference between FAST_OUTPUT_REGISTER and FAST_OUTPUT_ENABLE_REGISTER? 

Should they be used simultaneousely or either one of them for Cyclone IV GX with LPM_DFF just before ouput pin?
0 Kudos
Altera_Forum
Honored Contributor II
528 Views

 

--- Quote Start ---  

What is the difference between FAST_OUTPUT_REGISTER and FAST_OUTPUT_ENABLE_REGISTER? 

Should they be used simultaneousely or either one of them for Cyclone IV GX with LPM_DFF just before ouput pin? 

--- Quote End ---  

 

 

There are 3 different io registers (input, output, OE). I will use them (if applicable) to improve io speed. 

 

If your final output is enabled through another registered signal then you can use OE register.
0 Kudos
Reply