Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16612 Discussions

Error while compiling program for robot

Altera_Forum
Honored Contributor II
1,047 Views

Hey everyone, I'm making a robot which I'm going to program with VHDL. The robot will use the Ping))) sensor from Altera for detecting objects and evade them. When I want to compile my program I get the next errors: 

 

Error (10028): Can't resolve multiple constant drivers for net "pulssensor" at Robosensor.vhd(44) 

Error (10029): Constant driver at Robosensor.vhd(56) 

Error: Can't elaborate top-level user hierarchy 

 

The program that I've written is attached. 

 

Thanks for your help!
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
326 Views

Probably you mistyped the names at lines 62 and 68 and you are supposed to assign pulssensor_int instead of pulssensor. 

The latter is already assigned out of process: you get the compile error because you try to assign it twice.
0 Kudos
Reply