Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12589 Discussions

How to use NIOS processor for a custom design

Altera_Forum
Honored Contributor II
982 Views

Hi, 

I am trying to use the NIOS processor in my design.  

- For this, I first opened the SOPC programmer and created a test design with just the Nios processor and an on chip memory (i called it test_1) and generated it.  

- After exiting from the SOPC programmer, I opened a New .bdf and tried adding symbol. I chose my test_1 symbol but this just has "clock" and "reset" as its inputs. 

- My question now is, is there a way to get the actual NIOS processor with all its inputs and outputs. If this is not possible, how do I connect another logic block to the NIOS processor? As an example, I want to connect a module called fft.v to the NIOS processor and do all the fft operations on that. 

 

Any help would be great. 

thanks a lot
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
268 Views

Hello! 

What happened is correct. The Sopc BUILDER is showing all the inputs and outputs. If you want more, try enabling reset_request and debug_request but I don't think you really want them. 

You may take a look to the manuals, in particular to the Embedded Peripherals user guides. 

http://www.altera.com/literature/ug/ug_embedded_ip.pdf 

There you'll find a plenty of input and output peripherals.
0 Kudos
Altera_Forum
Honored Contributor II
268 Views

To interact with NIOS with your own logic you need to add PIO (Parallel I/O) blocks in SOPC Builder.  

 

Peripherals -> Microcontroller peripherals -> PIO (Parallel I/O)
0 Kudos
Reply