Nios® V/II Embedded Design Suite (EDS)
Support for Embedded Development Tools, Processors (SoCs and Nios® V/II processor), Embedded Development Suites (EDSs), Boot and Configuration, Operating Systems, C and C++
12589 Discussions

can't generate nios II .sof file

Altera_Forum
Honored Contributor II
2,339 Views

hi everyone..i try to connect my program to the board but unfortunately this kind of error was popup 

 

"No Nios II target connection paths were located. Check connections and that a Nios II .sof is downloaded."  

 

what should i do right now..?:confused::confused:
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
528 Views

Firstly, is your usb blaster working? Can check if the jtag connection is working at the Quartus II Programmer.  

Secondly, have you successfully run full compilation in Quartus and downloaded the .sof file into your board?
0 Kudos
Altera_Forum
Honored Contributor II
528 Views

firstly, sorry for the late reply and thanks for your answer forum_newbie...now i can generate the .sof file already..but im facing the new problem right now which is the ELF process downloading failed...what should i do to solve this problem?can you help me?because i already try a lot of solution to confront this problem by looking for previous forum but i still didnt get the solution..

0 Kudos
Altera_Forum
Honored Contributor II
528 Views

Is your pins being assigned correctly especially you reset pin? The other thing you can check is the Nios II debug reset request. Is it connected to the jtag reset port?

0 Kudos
Altera_Forum
Honored Contributor II
528 Views

thanks forum_newbie for the answer..the pin already assigned correctly...but the problem still occur..where can i find the nios ii debug rest request because im really blur about that...and for your information i use nios II/e version because i dont have the license..is it this version of nios make the "elf process downloading failed" occur? and if i use nios II/f..is it the problem can solve?

0 Kudos
Altera_Forum
Honored Contributor II
528 Views

Hello Bittersweet, 

 

I wrote a large post about pitfalls I fell into when trying to get Nios/e operational. 

You might want to look at "Read/Write data to SDRAM on DE0 nano using NIOS ii" 

 

Best Regards, 

Johi.
0 Kudos
Altera_Forum
Honored Contributor II
528 Views

Which version of Quartus are you using? And can you provide me your design for me to take a look at it?

0 Kudos
Reply