Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

Controlling TDO from JTAG user primitive

Altera_Forum
Honored Contributor II
945 Views

Most Altera FPGA's have a primitive called family_jtag like e.g. stratixiv_jtag. Using this primitive I can control a user register in the FPGA from the JTAG port. This works great.  

 

However, I can't seem to be able to control the TDO using this primitive. stratixiv_jtag has an input called tdouser. But I can't seem to get anything other than a one on TDO even if I hard wire the tdouser pin of the JTAG user primitive to zero. 

 

Is it not possible to shift out using TDO with the JTAG user primitive, or is it better to use the virtual JTAG megafunction for this purpose?
0 Kudos
0 Replies
Reply