Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16611 Discussions

SignalTap Node Finder in 10.0 onwards

Altera_Forum
Honored Contributor II
897 Views

Hi, 

 

When I use Quartus II 9.1 or earlier, I can use the node finder in signaltap to browse through the hierarchy of my design and select which signals I want to include in signaltap. 

 

In Quartus II 10.0 onwards (I'm using 11.0 at the moment) this doesn't work so well. If I select pre-synthesis as the filter, put an '*' in the 'Named' box and select the top-level (or indeed any level) in the 'Look in' box, I get no results when I click on 'List'. 

 

The only way I can get any results at all in the 'Nodes Found' box is to set a post-fitting filter and select the top-level design in 'Look-in'. If I do this, I then seem to get every post-fit signal in the entire design in the 'Nodes Found' box, but I can't see them hierarchically - if I set anything other than my top level entity in 'Look in' I get no results at all. 

 

Anyone know what I'm doing wrong? 

 

Thanks, 

Richard
0 Kudos
0 Replies
Reply