Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

Out of memory in module quartus_fit.exe

Altera_Forum
Honored Contributor II
1,156 Views

Hi, 

I am trying to map a 256 byte memory in stratix V. My design synthesizes properly but while fitting it gives an error "Out of memory in module quartus_fit.exe". I am wondering what can be the cause of this. My computer configuration is : 

OS: Windows XP Professional 

Total Physical Memory: 4GB 

Available Physical Memory: 2GB 

Available Virtual Memory: 2GB  

Page File Space: 5GB  

 

I am using a subscription version of Quartus II 11.0. Please note that I have been successful in compiling designs upto Stratix IV. Can anybody figure out the problem here. 

 

Thanks 

Anandaroop Ghosh
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
369 Views

Basically, you do not have enough memory. IIRC, you need a minumum of 3GB phyisical memory (available) to compile stratix 5 properly. If you have a 32 bit system, then you actually only have 3GB Max available to windows becuase of system overheads. There is a boot setting somewhere that increases the 2GB cap per process of physical memory, but I cannot recall where that is. 

 

I would recommend moving to a 64-bit machine.
0 Kudos
Altera_Forum
Honored Contributor II
369 Views

i agree on the recommendation of a 64-bit machine

0 Kudos
Reply