Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20644 Discussions

switchover between 2 input clocks in pll (cyclon3)

Altera_Forum
Honored Contributor II
959 Views

i tried to insert 2 clocks into one pll and use the manual switch over ..the input clocks were 19.44 and 38.88 and the output clock was 172.8. the pll wasn't able to support this combination. 

what should be the connection between the input clocks ? 

what is the "pre logic" in the pll that allows me to use 2 input clocks in the same pll and switch between them. 

 

Best 

Carmi
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
237 Views

I do not know the building bricks of an Altera PLL.  

Consider that the output clock is calculated on the primary input clock and not on the back-up clock. You may need also a PLL reconfiguration to keep the same output frequency having two different input frequencies.
0 Kudos
Altera_Forum
Honored Contributor II
237 Views

i created a pll that generated 172.8 from 38.88 and another one that creates 172.8 from 19.44. 

can i combine them using the switcover feature? 

i understand i need to select between the clocks and this could be done in hardware. 

i guess i don't understand you answer..can you explain it again? 

 

thanks
0 Kudos
Reply