Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

simulation question

Altera_Forum
Honored Contributor II
1,040 Views

Hi everyone, 

 

Where can I find vector waveform file in Quartus 11.0? Thanks.
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
362 Views

the vwf editor and internal simulator are not supported in 10.0+

0 Kudos
Altera_Forum
Honored Contributor II
362 Views

How can I simulate with waveform in Quartus 11.0+?

0 Kudos
Altera_Forum
Honored Contributor II
362 Views

they have enabled the waveform editing functionality in the latest versions of ModelSim ASE, but its not as straight forward as the old vwf editor 

 

your best bet is learning HDL test benches
0 Kudos
Altera_Forum
Honored Contributor II
362 Views

In my understanding, "HDL test bench" means read input stimulis from a text file,and the corresponding outputs will be put into a text file. am I correct?

0 Kudos
Altera_Forum
Honored Contributor II
362 Views

you could do it that way 

 

but you can also simply provide stimulus via HDL directly. you generate the input vectors using non-synthesizable constructs like wait statements. for example, you can generate a clock by inverting a signal and waiting period/2 seconds in an infinite loop 

 

using your HDL test bench you can still see what the input stimulus and output response look like in a graphical waveform, generating the stimulus is just code instead of graphical
0 Kudos
Altera_Forum
Honored Contributor II
362 Views

This document might help... Getting Started with Quartus II Simulation Using the 

ModelSim-Altera Software - http://www.altera.com/literature/ug/ug_gs_msa_qii.pdf
0 Kudos
Reply