Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16599 Discussions

Error: Port "i1" does not exist in primitive "nand2" of instance "g2"

Altera_Forum
Honored Contributor II
1,157 Views

I have some problem using quartus ii 11  

 

my code is: 

 

 

 

 

I get the following errors: 

 

 

Error: Port "i1" does not exist in primitive "nand2" of instance "g2" 

Error: Port "i2" does not exist in primitive "nand2" of instance "g2" 

Error: Port "o1" does not exist in primitive "nand2" of instance "g2" 

Error: Can't elaborate top-level user hierarchy 

Error: Quartus II Analysis & Synthesis was unsuccessful. 4 errors, 8 warnings 

 

 

can anybody help me?
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
434 Views

 

--- Quote Start ---  

I have some problem using quartus ii 11  

 

my code is: 

 

 

 

 

I get the following errors: 

 

 

Error: Port "i1" does not exist in primitive "nand2" of instance "g2" 

Error: Port "i2" does not exist in primitive "nand2" of instance "g2" 

Error: Port "o1" does not exist in primitive "nand2" of instance "g2" 

Error: Can't elaborate top-level user hierarchy 

Error: Quartus II Analysis & Synthesis was unsuccessful. 4 errors, 8 warnings 

 

 

can anybody help me? 

--- Quote End ---  

 

 

Hi, 

 

I did not see any code of you. I assume you have a name mismatch between the NAND itself and the instanciation of the NAND. 

 

Kind regards 

 

GPK
0 Kudos
Reply