Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

SystemVerilog Extensions Error

Altera_Forum
Honored Contributor II
3,263 Views

Trying to declare a bidimensional array as input in a module. 

I get the following error during synthesis 

 

 

--- Quote Start ---  

Error (10773): Verilog HDL error at FFT04.v(3): declaring module ports or function arguments with unpacked array types requires SystemVerilog extensions 

 

--- Quote End ---  

 

module declaration is the following: 

 

module test(A,Y); input wire signed A ; Is this a basic HDL error or can be solved setting some Quartus feature?  

 

Thanks in advance
0 Kudos
6 Replies
Altera_Forum
Honored Contributor II
2,095 Views

Did you set Verilog input options to System Verilog?

0 Kudos
Altera_Forum
Honored Contributor II
2,095 Views

No I didn't. 

After doing it everything is fine. 

Thanks for your answer. 

 

This, however, means working with SystemVerilog. Hope not to loose too much in compatibility.
0 Kudos
Altera_Forum
Honored Contributor II
2,095 Views

one thing you'll run in to is that Quartus won't make example instantiations of SystemVerilog modules with multi-dimensional ports

0 Kudos
Altera_Forum
Honored Contributor II
2,095 Views

you can also set SystemVerilog mode per file instead of globally. in fact if you name the file .sv it may do this for you, if not i think there's a synthesis attribute or an assignment

0 Kudos
Altera_Forum
Honored Contributor II
2,095 Views

For pancake, 

 

can you tell me what is an "example instantiation" of a module?
0 Kudos
Altera_Forum
Honored Contributor II
2,095 Views

sounds like you don't use the feature, so its a non-issue :) 

 

if you open an HDL file and go to File > Create/Update > Create Verilog Instantiation 

 

Quartus will create an example instantation for the source HDL. it won't work with System Verilog multi-dimensional ports
0 Kudos
Reply