Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20678 Discussions

Please explain the following

Altera_Forum
Honored Contributor II
1,109 Views

I have one line in my VHDL test bench file as follows CONV_STD_LOGIC_VECTOR(16#100#+I*2,16) in for Loop integer I. 

 

Could anyone please explain how can I plot this in waveform,  

 

I know some basic like CONV_STD_LOGIC_VECTOR(16#200#,16) will convert that into HEX so I can drive 200 in waveform
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
370 Views

I dont really understand your question. COuld you post more code in context?

0 Kudos
Altera_Forum
Honored Contributor II
370 Views

I am having test bench vhdl using that I am doing simulation on quartus simulator tool to check the design and use the same kind of vector to test in real time. For that I need to give input address which is std logic vector. 

 

At some point in test bench vhdl code I got like this  

 

for I in 0 to 15 loop 

BUS_ADDR_I <= CONV_STD_LOGIC_VECTOR(16#100#+I*2, 16); 

.... 

..... 

 

end loop; 

 

I need to simulate this input address in waveform file How to decode this in to address bus? 

Ex: 

 

If it is like the following BUS_ADDR_I <= CONV_STD_LOGIC_VECTOR( 16#0210#, 16); 

 

I'll drive address bus as 0x210
0 Kudos
Altera_Forum
Honored Contributor II
370 Views

BUS_ADDR_I already is an address bus. 

I still dont understand what you are trying to do. 

 

Are you trying to wait between loop iterations? 

 

for I in 0 to 15 loop BUS_ADDR_I <= CONV_STD_LOGIC_VECTOR(16#100#+I*2, 16); wait until rising_edge(clk) ; end loop;
0 Kudos
Reply