Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

tristate-two ore more inputs in the same port

Altera_Forum
Honored Contributor II
1,148 Views

Hi everybody, 

 

I have a question about tristate signals. Is it possible to connect two tristated inputs into the same port? 

 

For example, 

 

assign sel_n = ~sel; 

assign input_port = sel_n ? signal_A : 1'bz; 

assign input_port = sel ? signal_B : 1'bz; 

 

Is there any other way to do this? 

 

Many thanks, 

 

ifdm
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
462 Views

I answer myself, with a mux... 

 

Thanks!
0 Kudos
Reply